Designing a CPU in VHDL, Part 6: Program Counter, Instruction Fetch, Branching | “Domipheus Labs”